導航:首頁 > 編程知識 > 可編程器件中基本結構是什麼

可編程器件中基本結構是什麼

發布時間:2024-10-05 02:49:06

❶ cpld與fpga有何區別

CPLD與FPGA的主要區別在於其結構、編程方式、應用特點以及成本等方面。


一、基本結構


CPLD是一種復雜的可編程邏輯器件,主要由多個邏輯單元組成,如可編程陣列邏輯、通用陣列邏輯等。它預先定義了固定的硬體結構,開發者通過編程實現特定的功能。而FPGA是現場可編程門陣列,由數以萬計的邏輯門組成的陣列構成,這些邏輯門可以根據需要進行配置和編程,以實現不同的硬體功能。


二、編程方式


CPLD的編程相對簡單,開發者主要使用硬體描述語言進行編程,設計完成後直接得到固定的邏輯功能。而FPGA不僅可以使用HDL編程,還可以通過高級編程語言進行編程,並且支持在線修改設計,具有更高的靈活性。


三、應用特點


CPLD由於其固定的硬體結構,更適合於實現相對固定且穩定的邏輯功能,如數字信號處理、通信協議等。而FPGA由於其靈活的可配置性,更適合於快速原型設計和驗證,以及需要不斷升級和改變功能的場合。此外,FPGA在並行處理、高速運算等方面具有優勢。


四、成本考慮


一般而言,CPLD的製造成本相對較低,適用於大規模生產。而FPGA由於其高度的可配置性和靈活性,製造成本相對較高。但在某些需要復雜邏輯和高性能的應用場景中,FPGA的性價比可能更高。


總的來說,CPLD和FPGA各有其特點和優勢,開發者應根據具體的應用需求和預算來選擇最合適的器件。

❷ 可編程式控制制器它主要由哪幾部分組成

可編程式控制制器由中央處理單元(CPU)、存儲器、輸入輸出介面(I/O模塊)、通信介面、電源組成。

1、中央處理單元(CPU)

中央處理單元(CPU)為PLC的控制中樞,PLC的核心起神經中樞的作用,每套PLC至少有一個CPU。它按照PLC系統程序賦予的功能接收並存儲從編程器鍵入的用戶程序和數據;檢查電源、存儲器、I/O以及警戒定時器的狀態,並能診斷用戶程序中的語法錯誤。

2、存儲器

存放系統軟體的存儲器;用戶程序存儲器是存放PLC用戶程序應用;數據存儲器用來存儲PLC程序執行時的中間狀態與信息,它相當於PC的內存。

3、輸入輸出介面(I/O模塊)

PLC與電氣迴路的介面,通過輸入輸出部分(I/O)完成的。I/O模塊集成了PLC的I/O電路,其輸入暫存器反映輸入信號狀態,輸出點反映輸出鎖存器狀態。

輸入模塊將電信號變換成數字信號進入PLC系統,輸出模塊相反。I/O分為開關量輸入(DI),開關量輸出(DO),模擬量輸入(AI),模擬量輸出(AO)等模塊。

4、通信介面

通信介面的主要作用為實現PLC與外部設備之間的數據交換(通信)。通信介面的形式多樣,最基本的有UBS,RS-232,RS-422/RS-485等的標准串列介面。可以通過多芯電纜,雙絞線,同軸電纜,光纜等進行連接。

5、電源

PLC的電源為PLC電路提供工作電源,在整個系統中起著十分重要的作用。一個良好的、可靠的電源系統是PLC的最基本保障。

交流電壓波動在+10%(+15%)范圍內,可以不採取其它措施而將PLC直接連接到交流電網上去。電源輸入類型有:交流電源(220VAC或110VAC),直流電源(常用的為24VDC)。



(2)可編程器件中基本結構是什麼擴展閱讀

可編程式控制制器功能特點

1、可靠性高。由於PLC大都採用單片微型計算機,因而集成度高,再加上相應的保護電路及自診斷功能,提高了系統的可靠性。

2、編程容易。PLC的編程多採用繼電器控制梯形圖及命令語句,其數量比微型機指令要少得多,除中、高檔PLC外,小型PLC只有16條左右。由於梯形圖形象而簡單,因此容易掌握、使用方便,甚至不需要計算機專業知識,就可進行編程。

3、組態靈活。由於PLC採用積木式結構,用戶只需要簡單地組合,便可靈活地改變控制系統的功能和規模,因此,可適用於任何控制系統。

4、輸入/輸出功能模塊齊全。PLC的最大優點之一,是針對不同的現場信號(如直流或交流、開關量、數字量或模擬量、電壓或電流等),均有相應的模板可與工業現場的器件(如按鈕、開關、感測電流變送器、電機啟動器或控制閥等)直接連接,並通過匯流排與CPU主板連接。

5、安裝方便。與計算機系統相比,PLC的安裝既不需要專用機房,也不需要嚴格的屏蔽措施。使用時只需把檢測器件與執行機構和PLC的I/O介面端子正確連接,便可正常工作。

6、運行速度快。由於PLC的控制由程序控制執行的,因而不論其可靠性還是運行速度,都是繼電器邏輯控制無法相比的。

❸ 什麼是PLDPLD是做什麼用的還有好學么。請高手指教。。。

PLD(programmable logic device)--可編程邏輯器件:PLD是做為一種通用集成電路生產的,他的邏輯功能按照用戶對器件編程來高定。一般的PLD的集成度很高,足以滿足設計一般的數字系統的需要。這樣就可以由設計人員自行編程而把一個數字系統「集成」在一片PLD上,而不必去請晶元製造廠商設計和製作專用的集成電路晶元了。PLA是生物降解塑料聚乳酸的英文簡寫,全寫為:polylactice acid 聚乳酸也稱為聚丙交酯(polylactide),屬於聚酯家族。聚乳酸是以乳酸為主要原料聚合得到的聚合物,原料來源充分而且可以再生,主要以玉米、木薯等為原料。聚乳酸的生產過程無污染,而且產品可以生物降解,實現在自然界中的循環,因此是理想的綠色高分子材料。 聚乳酸的熱穩定性好,加工溫度170~230℃,有好的抗溶劑性,可用多種方式進行加工,如擠壓、紡絲、雙軸拉伸,注射吹塑。由聚乳酸製成的產品除能生物降解外,生物相容性、光澤度、透明性、手感和耐熱性好,還具有一定的耐菌性、阻燃性和抗紫外性,因此用途十分廣泛,可用作包裝材料、纖維和非織造物等,目前主要用於服裝(內衣、外衣)、產業(建築、農業、林業、造紙)和醫療衛生等領域。 PLA最大的製造商是美國NatureWorks公司,其次是中國的海正生物,他們目前的產量分別是7萬噸和5千噸。PLA有很多的應用,可以在擠出、注塑、拉膜、紡絲等多領域應用。GAL,通用陣列邏輯,英文全稱:generic array logic。 GAL器件是從PAL發現過來的,其採用了EECMOS工藝使得該器件的編程非常方便,另外由於其輸出采 用了邏輯宏 單元結構(OLMC—Output Logic Macro Cell),使得電路的邏輯設計更加靈活。 二、GAL的優點: 1.具有電可擦除的功能,克服了採用熔斷絲技術只能一次編程的缺點,其可改寫的次數超過100次; 2.由於採用了輸出宏單元結構,用戶可根據需要進行組態,一片GAL器件可以實現各種組態的PAL器件 輸出結構的邏輯 功能,給電路設計帶來極大的方便; 3.具有加密的功能,保護了知識產權; 4.在器件中開設了一個存儲區域用來存放識別標志——即電子標簽的功能。 三、GAL器件的基本結構: GAL有五個部分組成: 1.輸入端:GAL16V8的2~9腳共8個輸入端,每個輸入端有一個緩沖器,並由緩沖器引出兩個互補的輸出到與陣列; 2.與陣列部分:它由8根輸入及8根輸出各引出兩根互補的輸出構成32列,即與項的變數個數為16;8根輸出每個輸出對應於一個8輸入或門(相當於每個輸出包含8個與項)構成64行,即GAL16V8的與陣列為一個32×64的陣列,共2048個可編程單元(或結點); 3.輸出宏單元:GAL16V8共有8個輸出宏單元,分別對應於12~19腳。每個宏單元的電路可以通過編程實現所有PAL輸出結構實現的功能; 4.系統時鍾:GAL16V8的1腳為系統時鍾輸入端,與每個輸出宏單元中D觸發器時鍾輸入端相連,可見GAL器件只能實現同步時序電路,而無法實現非同步的時序電路; 5.輸出三態控制端:GAL16V8的11腳為器件的三態控制公共端。FPGA是英文Field-Programmable Gate Array的縮寫,即現場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發展的產物。它是作為專用集成電路(ASIC)領域中的一種半定製電路而出現的,既解決了定製電路的不足,又克服了原有可編程器件門電路數有限的缺點。ASIC(Application Specific Integrated Circuit)是專用集成電路。 目前,在集成電路界ASIC被認為是一種為專門目的而設計的集成電路。是指應特定用戶要求和特定電子系統的需要而設計、製造的集成電路。ASIC的特點是面向特定用戶的需求,ASIC在批量生產時與通用集成電路相比具有體積更小、功耗更低、可靠性提高、性能提高、保密性增強、成本降低等優點。

如果你學過數字電路 ,還是很簡單的

跟我學Cupl之三--如何使用WinCupl軟體環境
差點忘了申明:本教程由吳健編寫,未經許可,請不要轉載。如果非要轉載,請註明本文由吳健編寫



WinCupl是ATMEL公司出品的Cupl語言的編譯環境,用於PLD器件的編程,支持多種器件,包括GAL系列

和ATF系列。一般來說,ATF系列的同等級產品要必GAL的便宜,比如AFT16V8就兼容GAL16V8,可以擦寫100

次,價格上也便宜1塊~2塊,性能都差不多。
在ATMEL公司的SPLD/CPLD欄目中免費下載WinCupl後,可以得到一個注冊碼,用這個碼就可以激活

WinCupl了,這個碼沒有使用時間的限制。
WinCupl軟體包實際包括兩個部分,一個是WinCupl,PLD的編譯環境,一個是WinSim,相當於MAX的波

形模擬部分。
接下來我們學習如何使用這個軟體。

一、編譯第一個源文件
第一次課我們舉了一個例子,說明了Cupl語言的基本結構,下面我們做另一個例子,就是兩輸入端與

門。具體步驟是:
1、啟動WinCupl。啟動完進入主界面後,單擊File菜單的New,從New中單擊Projet,就是新建一個工

程文件(其實還是PLD文件),在彈出的對話框中,可以填您的源文件名字(Name),填MYGATE,其它的

東西怎麼填請您復習第2課的PLD文件頭部文件的說明部分。這里有個特殊的地方,就是器件(Device),

系統默認的是virtual,就是不針對任何具體的部件,這里我們改掉,改成g16v8a,這個關鍵字兼容

ATF16V8。
2、單擊OK後,系統要你輸入你要用的輸入引腳數,因為我們只有兩個輸入端,因此填2,單擊OK按鈕


3、系統要你輸入要用到的輸出引腳數,填1,單擊OK按鈕。
4、系統要你輸入要使用到的中間節點數,我們不需要,填0,單擊OK按鈕。這樣系統就建立了一個

PLD文件,文件名就是MYGATE.PLD。系統將該文件顯示出來了,就象下面這樣:
Name MYGATE ;
PartNo 00 ;
Date 2006-8-9 ;
Revision 01 ;
Designer WUJIAN ;
Company TALE ;
Assembly None ;
Location ;
Device g16v8a ;

/* *************** INPUT PINS *********************/
PIN = ; /* */
PIN = ; /* */

/* *************** OUTPUT PINS *********************/
PIN = ; /* */

因此,這個文件是空的,我們填一下,將輸入輸出引腳都填好,把邏輯也寫完,就象下面這樣:
Name MYGATE ;
PartNo 00 ;
Date 2006-8-9 ;
Revision 01 ;
Designer WUJIAN ;
Company TALE ;
Assembly None ;
Location ;
Device g16v8a ;
/* *************** INPUT PINS *********************/
PIN 2 = a ; /* */
PIN 3 = b ; /* */

/* *************** OUTPUT PINS *********************/
PIN 12 = Y ; /* */

Y = a & b;
寫好後,我們需要編譯該文件。在Run菜單中,單擊Device Dependent Compile,就是基於器件型號

的編譯。如果沒有出現什麼鍵入錯誤,都能成功編譯。編譯完成後,我們來模擬一下看看波形。

二、模擬的基本方法
編譯完成後。單擊工具欄圖標的從右側數第2個,啟動WinSim。啟動完成後,單擊WinSim菜單File中的

New。
1、在彈出的Design Properties對話框中,單擊Design File按鈕,選中MYGATE.PLD文件,按「確認

」按鈕繼續。在Design Properties對話框中,單擊OK按鈕確認。
2、接下來WinSim會提示是否創建MYGATE.SIM文件並編譯它,單擊「是」繼續。
3、不管接下來的提示,在WinSim中Signal(信號)菜單中單擊Add,在彈出的Add Signal對話框中不

斷單擊OK按鈕將a、b、y三個信號加到波形圖中。單擊Done關閉該對話框。
4、在WinSim的File菜單中單擊Save項保存該項目。
5、在黑色的網格的左上方有個Value,Value右邊有個1,在1所在的灰色條上單擊滑鼠右鍵,在彈出

的菜單中的Add Vector上單擊滑鼠左鍵,在彈出的對話框中輸入3,表示增加波形模擬的3段。
6、在a的右側的波形上單擊滑鼠右鍵,依次選0,0,1,1,在b的波形上單擊滑鼠右鍵,依次選0,1

,0,1。
7、保存該工程。在Simulator菜單中選擇Simulator開始模擬,就可以看到y的波形了。如下圖所示。

本次課我們學習了如何利用WinCupl進行PLD邏輯設計和基本模擬方法

閱讀全文

與可編程器件中基本結構是什麼相關的資料

熱點內容
怎麼拆數據線的頭 瀏覽:568
手機系統升級有壞處嗎 瀏覽:155
xor加密演算法代碼 瀏覽:632
qq快捷支付怎麼回事 瀏覽:1000
什麼網站可以看還沒更新的電視劇 瀏覽:957
win10純凈64 瀏覽:189
蘋果6s10系統 瀏覽:426
cate網路線叫什麼 瀏覽:500
怎麼下載網站水印圖片 瀏覽:804
文件打開慢電腦卡怎麼處理 瀏覽:2
傲劍升級數據大全2013 瀏覽:452
有哪些編程課程 瀏覽:14
可編程器件中基本結構是什麼 瀏覽:569
網站有https代表什麼 瀏覽:918
電影文件的音頻格式在哪裡看 瀏覽:261
iphone5s微信圖片緩存 瀏覽:83
水果蔬菜合成是哪個APP的 瀏覽:802
ps製作iphone手機 瀏覽:35
蘋果restore怎麼開機 瀏覽:561
桌面里的文件如何轉到手機上 瀏覽:842

友情鏈接